Ara es mostren els items 159-178 de 237

    • P-slice based efficient speculative multithreading 

      Ranjan, Rakesh; Marcuello Pascual, Pedro; Latorre Salinas, Fernando; González Colás, Antonio María (IEEE Computer Society Publications, 2009-12-16)
      Text en actes de congrés
      Accés obert
      Microprocessor industry has recently shifted towards multi-core to take advantage of the ever increasing number of transistors provided by the new technologies. Unfortunately, the multi-core approach does not allow single ...
    • PARSAR: A SAR processor implemented in a cluster of workstations 

      Martínez, A; Fraile, F; Mallorquí Franquet, Jordi Joan; Nogueira, L; Gabaldà, J; Broquetas Ibars, Antoni; González Colás, Antonio María (ESA Publications Division, 1997)
      Text en actes de congrés
      Accés obert
      A parallel SAR processor is presented in this paper. The target configuration is a cluster of UNIX workstations, available in most user sites. This fact allows to obtain an increased computing performance without the need ...
    • PARSAR: Parallelisation of a chirp scaling algorithm SAR processor 

      Martínez, A; Fraile, F; Mallorquí Franquet, Jordi Joan; Nogueira, J; Gavalda, J; Broquetas Ibars, Antoni; González Colás, Antonio María (Ch. Lengauer, M. Grielb, S. Gorlatch. BERLIN, NEW YORK, Springer-Verlag Cop.1997, 1997)
      Text en actes de congrés
      Accés obert
      A parallel SAR processor is presented in this paper. The target configuration is a cluster of UNIX workstations, available in most user sites. This fact allows to obtain an increased computing performance without the need ...
    • Parsar: parallelisation of a chirp scaling algorithm sar processor 

      Martínez, Antonio; Fraile, Francisco; Mallorquí Franquet, Jordi Joan; Nogueira, Leonardo; Gabaldá, Jordi; Broquetas Ibars, Antoni; González Colás, Antonio María (1997-08)
      Article
      Accés restringit per política de l'editorial
      A parallel SAR processor is presented in this paper. The target configuration is a cluster of UNIX workstations, available in most user sites. This fact allows to obtain an increased computing performance without the need ...
    • Penelope: The NBTI-aware processor 

      Abella Ferrer, Jaume; Vera Rivera, Francisco Javier; González Colás, Antonio María (IEEE Computer Society, 2007)
      Text en actes de congrés
      Accés obert
      Transistors consist of lower number of atoms with every technology generation. Such atoms may be displaced due to the stress caused by high temperature, frequency and current, leading to failures. NBTI (negative bias ...
    • Performance analysis and optimization of automatic speech recognition 

      Tabani, Hamid; Arnau Montañés, José María; Tubella Murgadas, Jordi; González Colás, Antonio María (2018-10-01)
      Article
      Accés obert
      Fast and accurate Automatic Speech Recognition (ASR) is emerging as a key application for mobile devices. Delivering ASR on such devices is challenging due to the compute-intensive nature of the problem and the power ...
    • Performance analysis of non-uniform cache architecture policies for chip-multiprocessors using the Parsec v2.0 Benchmark Suite 

      Lira Rueda, Javier; Molina Clemente, Carlos; González Colás, Antonio María (2009-09)
      Text en actes de congrés
      Accés restringit per política de l'editorial
      Non-Uniform Cache Architectures (NUCA)have been proposed as a solution to overcome wire delays that will dominate on-chip latencies in Chip Multiprocessor designs in the near future. This novel means of organization divides ...
    • Power efficient data cache designs 

      Abella Ferrer, Jaume; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 2003)
      Text en actes de congrés
      Accés obert
      We investigate some power efficient data cache designs that try to significantly reduce the cache energy consumption, both static and dynamic, with a minimal impact in performance. The basic idea is to combine different ...
    • Power- and complexity-aware issue queue designs 

      Abella Ferrer, Jaume; Canal Corretger, Ramon; González Colás, Antonio María (2003-09)
      Article
      Accés obert
      The improved performance of current microprocessors brings with it increasingly complex and power-dissipating issue logic. Recent proposals introduce a range of mechanisms for tackling this problem.
    • Power-aware control speculation through selective throttling 

      Aragón, Juan Luis; González González, José; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 2003)
      Text en actes de congrés
      Accés obert
      With the constant advances in technology that lead to the increasing of the transistor count and processor frequency, power dissipation is becoming one of the major issues in high-performance processors. These processors ...
    • Power/performance/thermal design-space exploration for multicore architectures 

      Monchiero, Matteo; Canal Corretger, Ramon; González Colás, Antonio María (2008-05)
      Article
      Accés obert
      Multicore architectures have been ruling the recent microprocessor design trend. This is due to different reasons: better performance, thread-level parallelism bounds in modern applications, ILP diminishing returns, better ...
    • QeiHaN: An energy-efficient DNN accelerator that leverages log quantization in NDP architectures 

      Khabbazan, Bahareh; Riera Villanueva, Marc; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 2023)
      Comunicació de congrés
      Accés obert
      The constant growth of DNNs makes them challenging to implement and run efficiently on traditional computecentric architectures. Some works have attempted to enhance accelerators by adding more compute units and on-chip ...
    • Quantitative characterization of the software layer of a HW/SW co-designed processor 

      Cano Reyes, José; Kumar, Rakesh; Brankovic, Aleksandar; Pavlou, Demos; Stavrou, Kyriakos; Gibert Codina, Enric; Martínez, Alejandro; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 2016)
      Text en actes de congrés
      Accés obert
      HW/SW co-designed processors currently have a renewed interest due to their capability to boost performance without running into the power and complexity walls. By employing a software layer that performs dynamic binary ...
    • Randomized cache placement for eliminating conflicts 

      Topham, Nigel; González Colás, Antonio María (1999-02)
      Article
      Accés obert
      Applications with regular patterns of memory access can experience high levels of cache conflict misses. In shared-memory multiprocessors conflict misses can be increased significantly by the data transpositions required ...
    • Reducing branch delay to zero in pipelined processors 

      González Colás, Antonio María; Llaberia Griñó, José M. (1993-03)
      Article
      Accés obert
      A mechanism to reduce the cost of branches in pipelined processors is described and evaluated. It is based on the use of multiple prefetch, early computation of the target address, delayed branch, and parallel execution ...
    • Reducing DUE-FIT of caches by exploiting acoustic wave detectors for error recovery 

      Upasani, Gaurang; Vera Rivera, Francisco Javier; González Colás, Antonio María (IEEE Computer Society Publications, 2013)
      Text en actes de congrés
      Accés restringit per política de l'editorial
      Cosmic radiation induced soft errors have emerged as a key challenge in computer system design. The exponential increase in the transistor count will drive the per chip fault rate sky high. New techniques for detecting ...
    • Reducing soft errors through operand width aware policies 

      Ergin, Oguz; Unsal, Osman Sabri; Vera Rivera, Francisco Javier; González Colás, Antonio María (2009-09)
      Article
      Accés obert
      Soft errors are an important challenge in contemporary microprocessors. Particle hits on the components of a processor are expected to create an increasing number of transient errors with each new microprocessor generation. ...
    • Reducing wire delay penalty through value prediction 

      Parcerisa Bundó, Joan Manuel; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 2000)
      Text en actes de congrés
      Accés obert
      In this paper we show that value prediction can be used to avoid the penalty of long wire delays by predicting the data that is communicated through these long wires and validating the prediction locally where the value ...
    • Refueling: Preventing wire degradation due to electromigration 

      Abella Ferrer, Jaume; Vera Rivera, Francisco Javier; Unsal, Osman Sabri; Ergin, Oguz; González Colás, Antonio María; Tschanz, James W. (2008-12)
      Article
      Accés obert
      Electromigration is a major source of wire and via failure. Refueling undoes EM for bidirectional wires and power/ground grids-some of a chip's most vulnerable wires. Refueling exploits EM's self-healing effect by balancing ...
    • Reliability: fallacy or reality? 

      González Colás, Antonio María; Mahlke, Scott; Mukherjee, Shubu; Sendag, Resit; Chiou, Derek; Yi, Joshua J. (2007-11)
      Article
      Accés obert
      As chip architects and manufacturers plumb ever-smaller process technologies, new species of faults are compromising device reliability, following an introduction by the authors debate whether reliability is a legitimate ...