Ara es mostren els items 1-20 de 236

    • 2018 International Symposium on Computer Architecture influential paper award 

      González Colás, Antonio María (2018-07-01)
      Article
      Accés obert
      The International Symposium on Computer Architecture (ISCA) recognizes every year the most influential paper published in this conference 15 years earlier, based on its impact on research, development, products or ideas. ...
    • A case for acoustic wave detectors for soft-errors 

      Upasani, Gaurang; Vera Rivera, Francisco Javier; González Colás, Antonio María (2016-01-01)
      Article
      Accés restringit per política de l'editorial
      The continuing decrease in dimensions and operating voltage of transistors has increased their sensitivity against radiation phenomena, making soft errors an important challenge in future microprocessors. New techniques ...
    • A co-designed HW/SW approach to general purpose program acceleration using a programmable functional unit 

      Deb, Abhishek; Codina Viñas, Josep M.; González Colás, Antonio María (IEEE Press. Institute of Electrical and Electronics Engineers, 2011)
      Text en actes de congrés
      Accés restringit per política de l'editorial
      In this paper, we propose a novel programmable functional unit (PFU) to accelerate general purpose application execution on a modern out-of-order x86 processor in a complexity-effective way. Code is transformed and ...
    • A cost-effective clustered architecture 

      Canal Corretger, Ramon; Parcerisa Bundó, Joan Manuel; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 1999)
      Text en actes de congrés
      Accés obert
      In current superscalar processors, all floating-point resources are idle during the execution of integer programs. As previous works show, this problem can be alleviated if the floating-point cluster is extended to execute ...
    • A detailed methodology to compute soft error rates in advanced technologies 

      Riera Villanueva, Marc; Canal Corretger, Ramon; Abella Ferrer, Jaume; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 2016)
      Text en actes de congrés
      Accés restringit per política de l'editorial
      System reliability has become a key design aspect for computer systems due to the aggressive technology miniaturization. Errors are typically dominated by transient faults due to radiation and are strongly related to the ...
    • A Dynamically Adaptable Hardware Transactional Memory 

      Lupon Navazo, Marc; Magklis, Grigorios; González Colás, Antonio María (IEEE Computer Society Publications, 2010)
      Text en actes de congrés
      Accés obert
      Most Hardware Transactional Memory (HTM) implementations choose fixed version and conflict management policies at design time. While eager HTM systems store transactional state in-place in memory and resolve conflicts ...
    • A Jacobi-based algorithm for computing symmetric eigenvalues and eigenvectors in a two-dimensional mesh 

      Royo Vallés, María Dolores; Valero García, Miguel; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 1998)
      Text en actes de congrés
      Accés obert
      The paper proposes an algorithm for computing symmetric eigenvalues and eigenvectors that uses a one-sided Jacobi approach and is targeted to a multicomputer in which nodes can be arranged as a two-dimensional mesh with ...
    • A low-power hardware accelerator for ORB feature extraction in self-driving cars 

      Taranco Serna, Raúl; Arnau Montañés, José María; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 2021)
      Text en actes de congrés
      Accés obert
      Simultaneous Localization And Mapping (SLAM) is a key component for autonomous navigation. SLAM consists of building and creating a map of an unknown environment while keeping track of the exploring agent's location within ...
    • A low-power, high-performance speech recognition accelerator 

      Yazdani, Reza; Arnau Montañés, José María; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 2019-12-01)
      Article
      Accés obert
      Automatic Speech Recognition (ASR) is becoming increasingly ubiquitous, especially in the mobile segment. Fast and accurate ASR comes at high energy cost, not being affordable for the tiny power-budgeted mobile devices. ...
    • A methodology for user-oriented scalability analysis 

      Royo Vallés, María Dolores; Valero García, Miguel; González Colás, Antonio María; Marí, Carme (Institute of Electrical and Electronics Engineers (IEEE), 1997)
      Text en actes de congrés
      Accés obert
      Scalability analysis provides information about the effectiveness of increasing the number of resources of a parallel system. Several methods have been proposed which use different approaches to provide this information. ...
    • A methodology for user-oriented scalability analysis. 

      Royo Vallés, María Dolores; Valero García, Miguel; González Colás, Antonio María; Mari Vila, Carme (IEEE, 1997-07-14)
      Text en actes de congrés
      Accés obert
      Scalability analysis provides information about the effectiveness of increasing the number of resources of a parallel system. Several methods have been proposed which use different approaches to provide this information. ...
    • A novel register renaming technique for out-of-order processors 

      Tabani, Hamid; Arnau Montañés, José María; Tubella Murgadas, Jordi; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 2018)
      Text en actes de congrés
      Accés restringit per política de l'editorial
      Modern superscalar processors support a large number of in-flight instructions, which requires sizeable register files. Conventional register renaming techniques allocate a new storage location, i.e. physical register, for ...
    • A novel variation-tolerant 4T-DRAM cell with enhanced soft-error tolerance 

      Ganapathy, Shrikanth; Canal Corretger, Ramon; Alexandrescu, Dan; Costenaro, Enrico; González Colás, Antonio María; Rubio Sola, Jose Antonio (IEEE Computer Society Publications, 2012)
      Text en actes de congrés
      Accés restringit per política de l'editorial
      In view of device scaling issues, embedded DRAM (eDRAM) technology is being considered as a strong alternative to conventional SRAM for use in on-chip memories. Memory cells designed using eDRAM technology in addition ...
    • A partial breadth-first execution model for prolog 

      Tubella Murgadas, Jordi; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 1994)
      Text en actes de congrés
      Accés obert
      MEM (Multipath Execution Model) is a novel model for the execution of Prolog programs which combines a depth-first and breadth-first exploration of the search tree. The breadth-first search allows more than one path of the ...
    • A programmable accelerator for streaming automatic speech recognition on edge devices 

      Pinto Rivero, Dennis; Arnau Montañés, José María; González Colás, Antonio María (2022)
      Text en actes de congrés
      Accés obert
      Automatic Speech Recognition (ASR) is quickly becoming a mainstream technology, mainly driven by the outstanding accuracy achieved by modern systems based on machine learning. However, these systems often require billions ...
    • A quantitative assessment of thread-level speculation techniques 

      Marcuello Pascual, Pedro; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 2000)
      Text en actes de congrés
      Accés obert
      Speculative thread-level parallelism has been recently proposed as an alternative source of parallelism that can boost the performance for applications where independent threads are hard to find. Several schemes to exploit ...
    • A selective logging mechanism for hardware transactional memory systems 

      Lupon Navazo, Marc; Magklis, Grigorios; González Colás, Antonio María (2011-09-19)
      Report de recerca
      Accés obert
      Log-based Hardware Transactional Memory (HTM) systems offer an elegant solution to handle speculative data that overflow transactional L1 caches. By keeping the pre-transactional values on a software-resident log, speculative ...
    • A software-hardware hybrid steering mechanism for clustered microarchitectures 

      Cai, Qiong; Codina Viñas, Josep M.; González González, José; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 2008)
      Text en actes de congrés
      Accés obert
      Clustered microarchitectures provide a promising paradigm to solve or alleviate the problems of increasing microprocessor complexity and wire delays. High- performance out-of-order processors rely on hardware-only steering ...
    • A study of the communication cost of the FFT on torus multicomputers 

      Díaz de Cerio Ripalda, Luis Manuel; Valero García, Miguel; González Colás, Antonio María (Institute of Electrical and Electronics Engineers (IEEE), 1995)
      Text en actes de congrés
      Accés obert
      The computation of a one-dimensional FFT on a c-dimensional torus multicomputer is analyzed. Different approaches are proposed which differ in the way they use the interconnection network. The first approach is based on ...
    • A survey of near-data processing architectures for neural networks 

      Hassanpour, Mehdi; Riera Villanueva, Marc; González Colás, Antonio María (2022-01-17)
      Article
      Accés obert
      Data-intensive workloads and applications, such as machine learning (ML), are fundamentally limited by traditional computing systems based on the von-Neumann architecture. As data movement operations and energy consumption ...