Mostra el registre d'ítem simple

dc.contributor.authorRadojković, Petar
dc.contributor.authorCarpenter, Paul Matthew
dc.contributor.authorMoretó Planas, Miquel
dc.contributor.authorCakarevic, Vladimir
dc.contributor.authorVerdú Mulà, Javier
dc.contributor.authorPajuelo González, Manuel Alejandro
dc.contributor.authorCazorla Almeida, Francisco Javier
dc.contributor.authorNemirovsky, Mario
dc.contributor.authorValero Cortés, Mateo
dc.contributor.otherUniversitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors
dc.contributor.otherBarcelona Supercomputing Center
dc.date.accessioned2016-04-06T07:26:21Z
dc.date.available2016-04-06T07:26:21Z
dc.date.issued2016-01-01
dc.identifier.citationRadojkovic, P., Carpenter, P., Moretó, M., Cakarevic, V., Verdú, J., Pajuelo, M.A., Cazorla, F.J., Nemirovsky, M., Valero, M. Thread assignment in multicore/multithreaded processors: A statistical approach. "IEEE transactions on computers", 01 Gener 2016, vol. 65, núm. 1, p. 256-269.
dc.identifier.issn0018-9340
dc.identifier.urihttp://hdl.handle.net/2117/85248
dc.description© 2015 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes, creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works.
dc.description.abstractThe introduction of multicore/multithreaded processors, comprised of a large number of hardware contexts (virtual CPUs) that share resources at multiple levels, has made process scheduling, in particular assignment of running threads to available hardware contexts, an important aspect of system performance. Nevertheless, thread assignment of applications running on state-of-the art processors is an NP-complete problem. Over the years, numerous studies have proposed heuristic-based algorithms for thread assignment. Since the thread assignment problem is intractable, it is in general impossible to know the performance of the optimal assignment, so the room for improvement of a given algorithm is also unknown. It is therefore hard to decide whether to invest more effort and time to improve an algorithm that may already be close to optimal. In this paper, we present a statistical approach to the thread assignment problem. First, we present a method that predicts the performance of the optimal thread assignment, based on the observed performance of each thread assignment in a random sample. The method is based on Extreme Value Theory (EVT), a branch of statistics that analyses extreme deviations from the population mean. We also propose sample pruning, a method that significantly reduces the time required to apply the statistical method by reducing the number of candidate solutions that need to be measured. Finally, we show that, if no suitable heuristic-based algorithm is available, a sample of several thousand random thread assignments is enough to obtain, with high confidence, an assignment with performance close to optimal. The presented approach is architecture and application independent, and it can be used to address the thread assignment problem in various domains. It is especially well suited for systems in which the workload seldom changes. An example is network systems, which typically provide a constant set of services that are known in advance, with network applications performing a similar processing algorithm for each packet in the system. In this paper, we validate our methods with an industrial case study for a set of multithreaded network applications on an UltraSPARC T2 processor. This article is an extension of our previous work [ 44], which was published in Proceedings of 17th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-2012).
dc.description.sponsorshipThis work has been supported by the Spanish Ministry of Science and Innovation under grant TIN2012-34557, the HiPEAC Network of Excellence, and by the European Research Council under the European Union’s 7th FP, ERC Grant Agreement number 321253. Miquel Moreto has been partially supported by the Ministry of Economy and Competitiveness under Juan de la Cierva postdoctoral fellowship number JCI-2012-15047.
dc.format.extent14 p.
dc.language.isoeng
dc.subjectÀrees temàtiques de la UPC::Informàtica::Arquitectura de computadors
dc.subject.lcshComputational complexity
dc.subject.lcshHigh performance processors
dc.subject.otherScheduling
dc.subject.otherThread assignment
dc.subject.otherMultithreading
dc.subject.otherStatistical estimation
dc.subject.otherExtreme value theory
dc.titleThread assignment in multicore/multithreaded processors: A statistical approach
dc.typeArticle
dc.subject.lemacComplexitat computacional
dc.subject.lemacMultiprocessadors
dc.contributor.groupUniversitat Politècnica de Catalunya. CAP - Grup de Computació d'Altes Prestacions
dc.identifier.doi10.1109/TC.2015.2417533
dc.description.peerreviewedPeer Reviewed
dc.relation.publisherversionhttp://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=7070708
dc.rights.accessOpen Access
local.identifier.drac17526554
dc.description.versionPostprint (author's final draft)
dc.relation.projectidinfo:eu-repo/grantAgreement/MINECO/1PE/TIN2012-34557
dc.relation.projectidinfo:eu-repo/grantAgreement/EC/FP7/321253/EU/Riding on Moore's Law/ROMOL
dc.relation.projectidinfo:eu-repo/grantAgreement/MINECO//JCI-2012-15047/ES/JCI-2012-15047/
local.citation.authorRadojkovic, P.; Carpenter, P.; Moretó, M.; Cakarevic, V.; Verdú, J.; Pajuelo, M.A.; Cazorla, F.J.; Nemirovsky, M.; Valero, M.
local.citation.publicationNameIEEE transactions on computers
local.citation.volume65
local.citation.number1
local.citation.startingPage256
local.citation.endingPage269


Fitxers d'aquest items

Thumbnail

Aquest ítem apareix a les col·leccions següents

Mostra el registre d'ítem simple