Mostra el registre d'ítem simple

dc.contributor.authorNabavilarimi, Seyed Saber
dc.contributor.authorSalami, Behzad
dc.contributor.authorUnsal, Osman Sabri
dc.contributor.authorCristal Kestelman, Adrián
dc.contributor.authorSarbazi-Azad, Hamid
dc.contributor.authorMutlu, Onur
dc.contributor.otherUniversitat Politècnica de Catalunya. Doctorat en Arquitectura de Computadors
dc.contributor.otherUniversitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors
dc.contributor.otherBarcelona Supercomputing Center
dc.date.accessioned2021-07-22T09:03:18Z
dc.date.available2021-07-22T09:03:18Z
dc.date.issued2021
dc.identifier.citationNabavilarimi, S. [et al.]. Understanding power consumption and reliability of high-bandwidth memory with voltage underscaling. A: Design, Automation and Test in Europe Conference and Exhibition. "Proceedings of the 2021 Design, Automation & Test in Europe (DATE 2021): 01-05 February 2021, virtual conference". Institute of Electrical and Electronics Engineers (IEEE), 2021, p. 517-522. ISBN 978-3-9819263-5-4. DOI 10.23919/DATE51398.2021.9474024.
dc.identifier.isbn978-3-9819263-5-4
dc.identifier.urihttp://hdl.handle.net/2117/349942
dc.description.abstractModern computing devices employ High-Bandwidth Memory (HBM) to meet their memory bandwidth requirements. An HBM-enabled device consists of multiple DRAM layers stacked on top of one another next to a compute chip (e.g, CPU, GPU, and FPGA) in the same package. Although such HBM structures provide high bandwidth at a small form factor, the stacked memory layers consume a substantial portion of the package's power budget. Therefore, power-saving techniques that preserve the performance of HBM are desirable. Undervolting is one such technique: it reduces the supply voltage to decrease power consumption without reducing the device's operating frequency to avoid performance loss. Undervolting takes advantage of voltage guardbands put in place by manufacturers to ensure correct operation under all environmental conditions. However, reducing voltage without changing frequency can lead to reliability issues manifested as unwanted bit flips. In this paper, we provide the first experimental study of real HBM chips under reduced-voltage conditions. We show that the guardband regions for our HBM chips constitute 19% of the nominal voltage. Pushing the supply voltage down within the guardband region reduces power consumption by a factor of 1.5X for all bandwidth utilization rates. Pushing the voltage down further by 11% leads to a total of 2.3X power savings at the cost of unwanted bit flips. We explore and characterize the rate and types of these reduced-voltage-induced bit flips and present a fault map that enables the possibility of a three-factor trade-off among power, memory capacity, and fault rate.
dc.description.sponsorshipThe research leading to these results has received funding from the European Union’s Horizon 2020 Programme under the LEGaTO Project (www.legato-project.eu), grant agreement No. 780681. This work has received financial support, in part, from Tetramax for the LV-EmbeDL project. This work is supported in part by funding from SRC and gifts from Intel, Microsoft and VMware to Onur Mutlu.
dc.format.extent6 p.
dc.language.isoeng
dc.publisherInstitute of Electrical and Electronics Engineers (IEEE)
dc.subjectÀrees temàtiques de la UPC::Informàtica::Arquitectura de computadors
dc.subject.lcshMemory management (Computer science)
dc.subject.lcshSemiconductors -- Energy consumption
dc.subject.otherHigh-bandwidth memory
dc.subject.otherPower consumption
dc.subject.otherVoltage scaling
dc.subject.otherFault characterization
dc.subject.otherReliability
dc.titleUnderstanding power consumption and reliability of high-bandwidth memory with voltage underscaling
dc.typeConference report
dc.subject.lemacGestió de memòria (Informàtica)
dc.subject.lemacSemiconductors – Consum d'energia
dc.contributor.groupUniversitat Politècnica de Catalunya. CAP - Grup de Computació d'Altes Prestacions
dc.identifier.doi10.23919/DATE51398.2021.9474024
dc.description.peerreviewedPeer Reviewed
dc.relation.publisherversionhttps://ieeexplore.ieee.org/document/9474024
dc.rights.accessOpen Access
local.identifier.drac31945853
dc.description.versionPostprint (author's final draft)
dc.relation.projectidinfo:eu-repo/grantAgreement/EC/H2020/780681/EU/Low Energy Toolset for Heterogeneous Computing/LEGaTO
local.citation.authorNabavilarimi, S.; Salami, B.; Unsal, O.; Cristal, A.; Sarbazi-Azad, H.; Mutlu, O.
local.citation.contributorDesign, Automation and Test in Europe Conference and Exhibition
local.citation.publicationNameProceedings of the 2021 Design, Automation & Test in Europe (DATE 2021): 01-05 February 2021, virtual conference
local.citation.startingPage517
local.citation.endingPage522


Fitxers d'aquest items

Thumbnail

Aquest ítem apareix a les col·leccions següents

Mostra el registre d'ítem simple