Mostra el registre d'ítem simple

dc.contributor.authorVidal Obiols, Alexandre
dc.contributor.authorCortadella, Jordi
dc.contributor.authorPetit Silvestre, Jordi
dc.contributor.authorGalcerán Oms, Marc
dc.contributor.authorMartorell Cid, Ferran
dc.contributor.otherUniversitat Politècnica de Catalunya. Doctorat en Computació
dc.contributor.otherUniversitat Politècnica de Catalunya. Departament de Ciències de la Computació
dc.date.accessioned2021-02-18T07:47:19Z
dc.date.available2021-02-18T07:47:19Z
dc.date.issued2021-12
dc.identifier.citationVidal, A. [et al.]. Multi-level dataflow-driven macro placement guided by RTL structure and analytical methods. "IEEE transactions on computer-aided design of integrated circuits and systems", Desembre 2021, vol. 40, núm. 12, p. 2542-2555.
dc.identifier.issn0278-0070
dc.identifier.urihttp://hdl.handle.net/2117/340008
dc.description.abstractWhen RTL designers define the hierarchy of a system, they exploit their knowledge about the conceptual abstractions devised during the design and the functional interactions between the logical components. This valuable information is often lost during physical synthesis. This paper proposes HiDaP, a novel multi-level algorithm that uses RTL information and analytical methods for the macro placement problem of modern designs dominated by multi-cycle connection pipelines. By taking advantage of the hierarchy tree, the netlist is divided into blocks containing macros and standard cells, and their dataflow affinity is inferred considering the register latency and flow width of their interaction. The layout is represented using slicing structures and generated with a top-down algorithm capable of handling blocks with both hard and soft components. An adaptive multi-objective cost function is used to simultaneously minimize wirelength, timing, overlap and distance to preferred locations, which can be user-defined or generated by analytic methods (spectral and force-directed). These techniques have been applied to a set of large industrial circuits and compared against state-of-theart commercial and academic placers, and also to handcrafted floorplans generated by expert back-end engineers. The proposed approach outperforms previous algorithmic methods and can produce solutions with better wirelength and timing than the best handcrafted floorplans. Post-routing layouts are almost brought to timing closure and DRC cleanness with minimal engineer modification, showing that the generated floorplans provide an excellent starting point for the physical design flow and contribute to reduce turn-around time significantly.
dc.description.sponsorshipThis work has been partially supported by a grant from Inphi Corporation and funds from the Spanish Ministry for Economy and Competitiveness and the European Union (FEDER funds) under grant TIN2017-86727-C2-1-R, and the Generalitat de Catalunya (2017 SGR 786).
dc.format.extent14 p.
dc.language.isoeng
dc.subjectÀrees temàtiques de la UPC::Enginyeria electrònica::Microelectrònica::Circuits integrats
dc.subject.lcshIntegrated circuits -- Design and construction
dc.subject.otherTiming
dc.subject.otherStandards
dc.subject.otherIntegrated circuit modeling
dc.subject.otherLayout
dc.subject.otherManuals
dc.subject.otherTools
dc.subject.otherShape
dc.titleMulti-level dataflow-driven macro placement guided by RTL structure and analytical methods
dc.typeArticle
dc.subject.lemacCircuits integrats -- Disseny i construcció
dc.contributor.groupUniversitat Politècnica de Catalunya. ALBCOM - Algorismia, Bioinformàtica, Complexitat i Mètodes Formals
dc.identifier.doi10.1109/TCAD.2020.3047724
dc.description.peerreviewedPeer Reviewed
dc.relation.publisherversionhttps://ieeexplore.ieee.org/document/9309318
dc.rights.accessOpen Access
local.identifier.drac30574448
dc.description.versionPostprint (author's final draft)
dc.relation.projectidinfo:eu-repo/grantAgreement/AEI/Plan Estatal de Investigación Científica y Técnica y de Innovación 2013-2016/TIN2017-86727-C2-1-R/ES/MODELOS Y METODOS BASADOS EN GRAFOS PARA LA COMPUTACION EN GRAN ESCALA/
dc.relation.projectidinfo:eu-repo/grantAgreement/AGAUR/2017 SGR 786
local.citation.authorVidal, A.; Cortadella, J.; Petit, J.; Galceran, M.; Martorell, F.
local.citation.publicationNameIEEE transactions on computer-aided design of integrated circuits and systems
local.citation.volume40
local.citation.number12
local.citation.startingPage2542
local.citation.endingPage2555


Fitxers d'aquest items

Thumbnail

Aquest ítem apareix a les col·leccions següents

Mostra el registre d'ítem simple