Mostra el registre d'ítem simple

dc.contributor.authorGonzález Álvarez, Cecilia
dc.contributor.authorFernández, Mikel
dc.contributor.authorJiménez González, Daniel
dc.contributor.authorÁlvarez Martínez, Carlos
dc.contributor.authorMartorell Bofill, Xavier
dc.contributor.otherUniversitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors
dc.date.accessioned2012-11-07T09:42:16Z
dc.date.available2012-11-07T09:42:16Z
dc.date.created2011
dc.date.issued2011
dc.identifier.citationGonzález, C. [et al.]. Automatic generation and testing of application specific hardware accelerators on a new reconfigurable OpenSPARC platform. A: HiPEAC Workshop on Reconfigurable Computing. "5th HiPEAC Workshop on Reconfigurable Computing: WRC 2011: 23 January 2011, Heraklion, Crete, Greece". Heraklion, Creta: 2011, p. 85-94.
dc.identifier.urihttp://hdl.handle.net/2117/16850
dc.description.abstractSpecific hardware customization for scientific applications has shown a big potential to address the current holy grail in computer architecture: reducing power consumption while increasing performance. In particular, the automatic generation of domain-specific accelerators for General- Purpose Processors (GPPs) is an active field of research to the point that different leading hardware design companies (e.g. Intel, ARM) are announcing commercial platforms that integrate GPPs and FPGAs. In this paper we present a new framework with a holistic approach that addresses the challenge of design exploration of specific application accelerators. Our work focuses on a target platform consisting of a GPP with a reconfigurable functional unit. The framework includes a reconfigurable 1-core 1-thread OpenSPARC with a new programmable specific purpose unit (SPU) inside the OpenSPARC core. In order to program the SPU we have developed an automatic toolchain that profiles an application and discovers its main computing bottlenecks. With that information our toolchain is able to both design hardware specific accelerators that can be automatically mapped in the aforementioned SPU, and generate the binary code necessary to run the application using those accelerators. The OpenSPARC with the new specific application accelerators, defined in a Hardware Description Language, can then be executed and measured. Still awaiting further development, nowadays our framework is a proof-of-concept that shows that this kind of systems can be developed and programmed as easily as a GPP. In a near future it would be the source of very interesting information about the capabilities and drawbacks of those mixed GPP-FPGA systems.
dc.format.extent10 p.
dc.language.isoeng
dc.rightsAttribution-NonCommercial-NoDerivs 3.0 Spain
dc.rights.urihttp://creativecommons.org/licenses/by-nc-nd/3.0/es/
dc.subjectÀrees temàtiques de la UPC::Informàtica::Arquitectura de computadors
dc.subject.lcshHigh performance processors
dc.subject.otherHardware accelerators
dc.subject.otherOpenSPARC Platform
dc.subject.otherGeneral-Purpose Processors
dc.subject.otherGPP
dc.titleAutomatic generation and testing of application specific hardware accelerators on a new reconfigurable OpenSPARC platform
dc.typeConference report
dc.subject.lemacProcessadors -- Rendiment
dc.subject.lemacArquitectura d'ordinadors
dc.contributor.groupUniversitat Politècnica de Catalunya. CAP - Grup de Computació d'Altes Prestacions
dc.rights.accessOpen Access
local.identifier.drac8710464
dc.description.versionPostprint (published version)
local.citation.authorGonzález, C.; Fernández, M.; Jimenez, D.; Alvarez, C.; Martorell, X.
local.citation.contributorHiPEAC Workshop on Reconfigurable Computing
local.citation.pubplaceHeraklion, Creta
local.citation.publicationName5th HiPEAC Workshop on Reconfigurable Computing: WRC 2011: 23 January 2011, Heraklion, Crete, Greece
local.citation.startingPage85
local.citation.endingPage94


Fitxers d'aquest items

Thumbnail

Aquest ítem apareix a les col·leccions següents

Mostra el registre d'ítem simple