Mostra el registre d'ítem simple

dc.contributor.authorAlastruey, Jesús
dc.contributor.authorMonreal Arnal, Teresa
dc.contributor.authorViñals Yufera, Víctor
dc.contributor.authorValero Cortés, Mateo
dc.contributor.otherUniversitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors
dc.date.accessioned2017-12-22T11:19:49Z
dc.date.available2017-12-22T11:19:49Z
dc.date.issued2007
dc.identifier.citationAlastruey, J., Monreal, T., Viñals, V., Valero, M. Microarchitectural support for speculative register renaming. A: IEEE International Parallel and Distributed Processing Symposium. "21st International Parallel and Distributed Processing Symposium, IPDPS 2007: proceedings". Long Beach, CA: Institute of Electrical and Electronics Engineers (IEEE), 2007, p. 1-10.
dc.identifier.isbn1-4244-0909-8
dc.identifier.urihttp://hdl.handle.net/2117/112380
dc.description.abstractThis paper proposes and evaluates a new microarchitecture for out-of-order processors that supports speculative renaming. We call speculative renaming to the speculative omission of physical register allocation along with the speculative early release of physical registers. These renaming policies may cause a register operand not to be kept in the physical register file (PRF). Thus, we add a low-ported auxiliary register file (XRF) located outside the processor core that keeps the values absent in PRF and supplies them at higher latency. To support the location of register operands being either in PRF or XRF, we use virtual registers. We consider omission and release policies directed by hardware prediction. Namely, we use a single last-use predictor that directs both speculative omission and release. We call this mechanism SR-LUP (speculative renaming based on last-use prediction). Two last-use predictor designs of incremental complexity and performance are analyzed. In a 256-ROB, 8-way processor with an 80int+80fp PRF, SR-LUP with an 11-port 256int+256fp XRF, speeds up computations up to 11.5% and 29% for INT and FP SPEC2K benchmarks, respectively. For FP benchmarks, if the PRF limits the clock frequency, a conventionally managed 128int+128fp PRF can be replaced using SR-LUP by a 64int+64fp PRF backed up with a 10-port 224int+224fp XRF, showing 19% IPS gain.
dc.format.extent10 p.
dc.language.isoeng
dc.publisherInstitute of Electrical and Electronics Engineers (IEEE)
dc.subjectÀrees temàtiques de la UPC::Informàtica::Arquitectura de computadors
dc.subject.lcshVirtual storage (Computer science)
dc.subject.lcshParallel processing (Electronic computers)
dc.subject.otherClocks
dc.subject.otherMemory architecture
dc.subject.otherMicroprocessor chips
dc.titleMicroarchitectural support for speculative register renaming
dc.typeConference report
dc.subject.lemacOrdinadors -- Memòries virtuals
dc.subject.lemacProcessament en paral·lel (Ordinadors)
dc.contributor.groupUniversitat Politècnica de Catalunya. CAP - Grup de Computació d'Altes Prestacions
dc.identifier.doi10.1109/IPDPS.2007.370237
dc.description.peerreviewedPeer Reviewed
dc.relation.publisherversionhttp://ieeexplore.ieee.org/document/4227965/
dc.rights.accessOpen Access
local.identifier.drac2395183
dc.description.versionPostprint (published version)
local.citation.authorAlastruey, J.; Monreal, T.; Viñals, V.; Valero, M.
local.citation.contributorIEEE International Parallel and Distributed Processing Symposium
local.citation.pubplaceLong Beach, CA
local.citation.publicationName21st International Parallel and Distributed Processing Symposium, IPDPS 2007: proceedings
local.citation.startingPage1
local.citation.endingPage10


Fitxers d'aquest items

Thumbnail

Aquest ítem apareix a les col·leccions següents

Mostra el registre d'ítem simple