Mostra el registre d'ítem simple

dc.contributor.authorParcerisa Bundó, Joan Manuel
dc.contributor.authorGonzález Colás, Antonio María
dc.contributor.otherUniversitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors
dc.date.accessioned2017-02-21T10:24:15Z
dc.date.available2017-02-21T10:24:15Z
dc.date.issued1998
dc.identifier.citationParcerisa, Joan-Manuel, Gonzalez, A. The latency hiding effectiveness of decoupled access/execute processors. A: EUROMICRO Conference. "24th EUROMICRO Conference: Västeras, Sweden, August 25-27, 1998: proceedings". Västeras: Institute of Electrical and Electronics Engineers (IEEE), 1998, p. 293-300.
dc.identifier.isbn0-8186-8646-4
dc.identifier.urihttp://hdl.handle.net/2117/101283
dc.description.abstractSeveral studies have demonstrated that out-of-order execution processors may not be the most adequate organization for wide-issue processors due to the increasing penalties that wire delays cause in the issue logic. The main target of out-of-order execution is to hide functional unit latencies and memory latency. However, the former can be quite effectively handled at compile time and this observation is one of the main arguments for the emerging EPIC architectures. In this paper, we demonstrate that a decoupled access/execute organization is very effective at hiding memory latency, even when it is very long. This paper presents a thorough evaluation of such processor organization. First, a generic decoupled access/execute architecture is defined and evaluated. Then the benefits of a lockup-free cache, control speculation and a store-load bypass mechanism under such an architecture are evaluated. Our analysis indicates that memory latency can be almost completely hidden by such techniques.
dc.format.extent8 p.
dc.language.isoeng
dc.publisherInstitute of Electrical and Electronics Engineers (IEEE)
dc.subjectÀrees temàtiques de la UPC::Informàtica::Arquitectura de computadors
dc.subject.lcshParallel processing (Electronic computers)
dc.subject.lcshCache memory
dc.subject.otherCache storage
dc.subject.otherDelays
dc.subject.otherComputer architecture
dc.titleThe latency hiding effectiveness of decoupled access/execute processors
dc.typeConference report
dc.subject.lemacProcessament en paral·lel (Ordinadors)
dc.subject.lemacMemòria cau
dc.contributor.groupUniversitat Politècnica de Catalunya. ARCO - Microarquitectura i Compiladors
dc.identifier.doi10.1109/EURMIC.1998.711813
dc.description.peerreviewedPeer Reviewed
dc.relation.publisherversionhttp://ieeexplore.ieee.org/document/711813/
dc.rights.accessOpen Access
local.identifier.drac2328483
dc.description.versionPostprint (published version)
local.citation.authorParcerisa, Joan-Manuel; Gonzalez, A.
local.citation.contributorEUROMICRO Conference
local.citation.pubplaceVästeras
local.citation.publicationName24th EUROMICRO Conference: Västeras, Sweden, August 25-27, 1998: proceedings
local.citation.startingPage293
local.citation.endingPage300


Fitxers d'aquest items

Thumbnail

Aquest ítem apareix a les col·leccions següents

Mostra el registre d'ítem simple