Mostra el registre d'ítem simple

dc.contributorJiménez González, Daniel
dc.contributor.authorSánchez Fernández, Raúl
dc.contributor.otherUniversitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors
dc.date.accessioned2010-09-23T13:50:16Z
dc.date.available2010-09-23T13:50:16Z
dc.date.issued2010-03-25
dc.identifier.urihttp://hdl.handle.net/2099.1/9755
dc.description.abstractDurante este proyecto se ha desarrollado un compilador fuente a fuente, de nombre CtoVHDL, capaz de traducir bucles de C a VHDL. Con esta traducción se crea un acelerador hardware capaz de ejecutar el bucle en una FPGA. Los aceleradores hardware generados realizan simultáneamente el máximo número de operaciones posibles y, además, evitan los accesos a memoria efectuando un reuso de los datos.
dc.language.isospa
dc.publisherUniversitat Politècnica de Catalunya
dc.subjectÀrees temàtiques de la UPC::Informàtica::Aplicacions de la informàtica
dc.subject.lcshCompilers (Computer programs)
dc.subject.otherCtoVHDL
dc.subject.otherC2VHDL
dc.subject.otherC
dc.subject.otherVHDL
dc.subject.otherHDL
dc.subject.otherFPGA
dc.subject.otherAcelerador hardware
dc.titleCompilación C a VHDL de códigos de bucles con reuso de datos
dc.typeMaster thesis (pre-Bologna period)
dc.subject.lemacCompiladors (Programes d'ordinador)
dc.identifier.slug65690
dc.rights.accessOpen Access
dc.date.updated2010-07-16T10:03:40Z
dc.audience.educationlevelEstudis de primer/segon cicle
dc.audience.mediatorFacultat d'Informàtica de Barcelona
dc.audience.degreeENGINYERIA INFORMÀTICA (Pla 2003)


Fitxers d'aquest items

Thumbnail

Aquest ítem apareix a les col·leccions següents

Mostra el registre d'ítem simple