Mostra el registre d'ítem simple

dc.contributor.authorAbadal Cavallé, Sergi
dc.contributor.authorMartinez, Raul
dc.contributor.authorSolé Pareta, Josep
dc.contributor.authorAlarcón Cot, Eduardo José
dc.contributor.authorCabellos Aparicio, Alberto
dc.contributor.otherUniversitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors
dc.contributor.otherUniversitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica
dc.date.accessioned2016-04-20T16:34:18Z
dc.date.available2018-01-23T01:30:29Z
dc.date.issued2016-01-21
dc.identifier.citationAbadal, S., Martinez, R., Solé-Pareta, J., Alarcon, E., Albert Cabellos-Aparicio. Characterization and modeling of multicast communication in cache-coherent manycore processors. "Computers and electrical engineering",Vol. 51 April 2016.
dc.identifier.issn0045-7906
dc.identifier.urihttp://hdl.handle.net/2117/86015
dc.description.abstractThe scalability of Network-on-Chip (NoC) designs has become a rising concern as we enter the manycore era. Multicast support represents a particular yet relevant case within this context, mainly due to the poor performance of NoCs in the presence of this type of traffic. Multicast techniques are typically evaluated using synthetic traffic or within a full system, which is either simplistic or costly, given the lack of realistic traffic models that distinguish between unicast and multicast flows. To bridge this gap, this paper presents a trace-based multicast traffic characterization, which explores the scaling trends of aspects such as the multicast intensity or the spatiotemporal injection distribution for different coherence schemes. This analysis is the basis upon which the concept of multicast source prediction is proposed, and upon which a multicast traffic model is built. Both aspects pave the way for the development and accurate evaluation of advanced NoCs in the context of manycore computing.
dc.language.isoeng
dc.rights.urihttp://creativecommons.org/licenses/by-nc-nd/3.0/es/
dc.subjectÀrees temàtiques de la UPC::Enginyeria electrònica
dc.subjectÀrees temàtiques de la UPC::Enginyeria de la telecomunicació::Telemàtica i xarxes d'ordinadors
dc.subject.lcshComputer networks
dc.subject.lcshMicroprocessors
dc.subject.otherBroadcast
dc.subject.otherManycore processors
dc.subject.otherMulticast
dc.subject.otherNetwork-on-chip
dc.subject.otherOn-chip traffic analysis
dc.subject.otherScalability
dc.titleCharacterization and modeling of multicast communication in cache-coherent manycore processors
dc.typeArticle
dc.subject.lemacOrdinadors, Xarxes d'
dc.subject.lemacMicroprocessadors
dc.contributor.groupUniversitat Politècnica de Catalunya. CBA - Sistemes de Comunicacions i Arquitectures de Banda Ampla
dc.contributor.groupUniversitat Politècnica de Catalunya. EPIC - Energy Processing and Integrated Circuits
dc.identifier.doi10.1016/j.compeleceng.2015.12.018
dc.description.peerreviewedPeer Reviewed
dc.relation.publisherversionhttp://www.sciencedirect.com/science/article/pii/S0045790615004498
dc.rights.accessOpen Access
local.identifier.drac17567690
dc.description.versionPostprint (author's final draft)
local.citation.authorAbadal, S.; Martinez, R.; Solé-Pareta, J.; Alarcon, E.; Cabellos-Aparicio, Albert
local.citation.publicationNameComputers and electrical engineering


Fitxers d'aquest items

Thumbnail

Aquest ítem apareix a les col·leccions següents

Mostra el registre d'ítem simple