Mostra el registre d'ítem simple

dc.contributor.authorJing, Naifeng
dc.contributor.authorShen, Yao
dc.contributor.authorLu, Yao
dc.contributor.authorGanapathy, Shrikanth
dc.contributor.authorMao, Zhigang
dc.contributor.authorGuo, Minyi
dc.contributor.authorCanal Corretger, Ramon
dc.contributor.authorLiang, Xiaoyao
dc.contributor.otherUniversitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors
dc.date.accessioned2013-10-01T13:21:20Z
dc.date.created2013
dc.date.issued2013
dc.identifier.citationJing, N. [et al.]. An energy-efficient and scalable eDRAM-based register file architecture for GPGPU. A: Annual International Symposium on Computer Architecture. "ISCA 2013: the 40th Annual International Symposium on Computer Architecture: conference proceedings: June 23-27, 2013: Tel-Aviv, Israel". Tel-Aviv: ACM, 2013, p. 344-355.
dc.identifier.isbn978-1-4503-2079-5
dc.identifier.urihttp://hdl.handle.net/2117/20247
dc.description.abstractThe heavily-threaded data processing demands of streaming multiprocessors (SM) in a GPGPU require a large register file (RF). The fast increasing size of the RF makes the area cost and power consumption unaffordable for traditional SRAM designs in the future technologies. In this paper, we propose to use embedded-DRAM (eDRAM) as an alternative in future GPGPUs. Compared with SRAM, eDRAM provides higher density and lower leakage power. However, the limited data retention time in eDRAM poses new challenges. Periodic refresh operations are needed to maintain data integrity. This is exacerbated with the scaling of eDRAM density, process variations and temperature. Unlike conventional CPUs which make use of multi-ported RF, most of the RFs in modern GPGPU are heavily banked but not multi-ported to reduce the hardware cost. This provides a unique opportunity to hide the refresh overhead. We propose two different eDRAM implementations based on 3T1D and 1T1C memory cells. To mitigate the impact of periodic refresh, we propose two novel refresh solutions using bank bubble and bank walk-through. Plus, for the 1T1C RF, we design an interleaved bank organization together with an intelligent warp scheduling strategy to reduce the impact of the destructive reads. The analysis shows that our schemes present better energy efficiency, scalability and variation tolerance than traditional SRAM-based designs
dc.format.extent12 p.
dc.language.isoeng
dc.publisherACM
dc.rightsAttribution-NonCommercial-NoDerivs 3.0 Spain
dc.rights.urihttp://creativecommons.org/licenses/by-nc-nd/3.0/es/
dc.subjectÀrees temàtiques de la UPC::Informàtica::Arquitectura de computadors
dc.subject.lcshComputer architecture
dc.subject.otherProgram processors
dc.subject.otherComputer architecture
dc.subject.otherData processing
dc.subject.otherEnergy efficiency
dc.subject.otherLogic design
dc.titleAn energy-efficient and scalable eDRAM-based register file architecture for GPGPU
dc.typeConference report
dc.subject.lemacArquitectura d'ordinadors
dc.contributor.groupUniversitat Politècnica de Catalunya. ARCO - Microarquitectura i Compiladors
dc.identifier.doi10.1145/2485922.2485952
dc.description.peerreviewedPeer Reviewed
dc.relation.publisherversionhttp://dl.acm.org/citation.cfm?doid=2485922.2485952
dc.rights.accessRestricted access - publisher's policy
local.identifier.drac12772134
dc.description.versionPostprint (published version)
dc.date.lift10000-01-01
local.citation.authorJing, N.; Shen, Y.; Lu, Y.; Ganapathy, S.; Mao, Z.; Guo, M.; Canal, R.; Liang, X.
local.citation.contributorAnnual International Symposium on Computer Architecture
local.citation.pubplaceTel-Aviv
local.citation.publicationNameISCA 2013: the 40th Annual International Symposium on Computer Architecture: conference proceedings: June 23-27, 2013: Tel-Aviv, Israel
local.citation.startingPage344
local.citation.endingPage355


Fitxers d'aquest items

Imatge en miniatura

Aquest ítem apareix a les col·leccions següents

Mostra el registre d'ítem simple