Mostra el registre d'ítem simple

dc.contributor.authorPavlou, Demos
dc.contributor.authorGibert Codina, Enric
dc.contributor.authorLatorre, Fernando
dc.contributor.authorGonzález Colás, Antonio María
dc.contributor.otherUniversitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors
dc.date.accessioned2013-05-10T12:30:37Z
dc.date.created2011
dc.date.issued2012
dc.identifier.citationPavlou, D. [et al.]. DDGacc: boosting dynamic DDG-based binary optimizations through specialized hardware support. A: ACM SIGPLAN/SIGOPS Conference on Virtual Execution Environments. "VEE'12 - Proceedings of the ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments". 2012, p. 159-168.
dc.identifier.isbn978-145031175-5
dc.identifier.urihttp://hdl.handle.net/2117/19158
dc.description.abstractDynamic Binary Translators (DBT) and Dynamic Binary Opti- mization (DBO) by software are used widely for several reasons including performance, design simplification and virtualization. However, the software layer in such systems introduces non- negligible overheads which affect performance and user experi- ence. Hence, reducing DBT/DBO overheads is of paramount im- portance. In addition, reduced overheads have interesting collateral effects in the rest of the software layer, such as allowing optimiza- tions to be applied earlier. A cost-effective solution to this problem is to provide hardware support to speed up the primitives of the software layer, paying special attention to automate DBT/DBO mechanisms and leave the heuristics to the software, which is more flexible. In this work, we have characterized the overheads of a DBO sys- tem using DynamoRIO implementing several basic optimizations. We have seen that the computation of the Data Dependence Graph (DDG) accounts for 5%-10% of the execution time. For this rea- son, we propose to add hardware support for this task in the form of a new functional unit, called DDGacc, which is integrated in a conventional pipeline processor and is operated through new ISA instructions. Our evaluation shows that DDGacc reduces the cost of computing the DDG by 32x, which reduces overall execution time by 5%-10% on average and up to 18% for applications where the DBO optimizes large code footprints.
dc.format.extent10 p.
dc.language.isoeng
dc.subjectÀrees temàtiques de la UPC::Informàtica::Arquitectura de computadors::Arquitectures distribuïdes
dc.subject.lcshProcessament distribuït de dades
dc.subject.otherCo-designed processors
dc.subject.otherDynamic binary optimization
dc.subject.otherHardware acceleration
dc.subject.otherStart-up overhead
dc.titleDDGacc: boosting dynamic DDG-based binary optimizations through specialized hardware support
dc.typeConference report
dc.subject.lemacElectronic data processing--Distributed processing
dc.contributor.groupUniversitat Politècnica de Catalunya. ARCO - Microarquitectura i Compiladors
dc.identifier.doi10.1145/2151024.2151046
dc.description.peerreviewedPeer Reviewed
dc.relation.publisherversionhttp://dl.acm.org/citation.cfm?doid=2151024.2151046
dc.rights.accessRestricted access - confidentiality agreement
local.identifier.drac10254366
dc.description.versionPostprint (published version)
dc.date.lift10000-01-01
local.citation.authorPavlou, D.; Gibert, E.; Latorre, F.; Gonzalez, A.
local.citation.contributorACM SIGPLAN/SIGOPS Conference on Virtual Execution Environments
local.citation.publicationNameVEE'12 - Proceedings of the ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments
local.citation.startingPage159
local.citation.endingPage168


Fitxers d'aquest items

Imatge en miniatura

Aquest ítem apareix a les col·leccions següents

Mostra el registre d'ítem simple