Ara es mostren els items 7-26 de 47

    • Boosting Guaranteed Performance in Wormhole NoCs with Probabilistic Timing Analysis 

      Slijepcevic, Mladen; Hernandez, Carles; Abella Ferrer, Jaume; Cazorla, Francisco J. (IEEE, 2017-09-28)
      Comunicació de congrés
      Accés obert
      Wormhole-based NoCs (wNoCs) are widely accepted in high-performance domains as the most appropriate solution to interconnect an increasing number of cores in the chip. However, wNoCs suitability in the context of critical ...
    • Bridging modularity and optimality: delay-insensitive interfacing in asynchronous circuits synthesis 

      Saito, Hiroshi; Kondratyev, Alex; Cortadella, Jordi; Lavagno, Luciano; Yakovlev, Alex (Institute of Electrical and Electronics Engineers (IEEE), 1999)
      Text en actes de congrés
      Accés obert
      Two trends are of major concern for digital circuit designers: the relative increase of interconnect delays with respect to gate delays and the demand for design reuse. Both pose difficult problems to synchronous design ...
    • CAD directions for high performance asynchronous circuits 

      Stevens, Kenneth S.; Rotem, Shai; Burns, Steven M.; Cortadella, Jordi; Ginosar, Ran; Kishinevsky, Michael; Roncken, Marly (Association for Computing Machinery (ACM), 1999)
      Text en actes de congrés
      Accés obert
      This paper describes a novel methodology for high performance asynchronous design based on timed circuits and on CAD support for their synthesis using relative timing. This methodology was developed for a prototype iA32 ...
    • Challenges in deeply heterogeneous high performance systems 

      Agosta, Giovanni; Fornaciari, William; Atienza, David; Canal Corretger, Ramon; Cilardo, Alessandro; Flich Cardo, José; Hernández Luz, Carles; Kulczewski, Michal; Massari, Giuseppe; Tornero Gavilá, Rafael; Zapater Sancho, Marina (Institute of Electrical and Electronics Engineers (IEEE), 2019)
      Text en actes de congrés
      Accés obert
      RECIPE (REliable power and time-ConstraInts-aware Predictive management of heterogeneous Exascale systems) is a recently started project funded within the H2020 FETHPC programme, which is expressly targeted at exploring ...
    • Circuit propagation delay estimation through multivariate regression-based modeling under spatio-temporal variability 

      Ganapathy, Shrikanth; Canal Corretger, Ramon; González Colás, Antonio María; Rubio Sola, Jose Antonio (Institute of Electrical and Electronics Engineers (IEEE), 2010)
      Text en actes de congrés
      Accés restringit per política de l'editorial
      With every process generation, the problem of variability in physical parameters and environmental conditions poses a great challenge to the design of fast and reliable circuits. Propagation delays which decide circuit ...
    • Conditional maximum likelihood timing recovery: estimators and bounds 

      Riba Sagarra, Jaume; Sala Álvarez, José; Vázquez Grau, Gregorio (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 2001-04-30)
      Article
      Accés obert
      This paper is concerned with the derivation of new estimators and performance bounds for the problem of timing estimation of (linearly) digitally modulated signals. The conditional maximum likelihood (CML) method is adopted, ...
    • Coping with the variability of combinational logic delays 

      Cortadella, Jordi; Kondratyev, Alex; Lavagno, Luciano; Sotiriou, Christos P. (Institute of Electrical and Electronics Engineers (IEEE), 2004)
      Text en actes de congrés
      Accés obert
      This paper proposes a technique for creating a combinational logic network with an output that signals when all other outputs have stabilized. The method is based on dual-rail encoding, and guarantees low timing overhead ...
    • Desarrollo de actividades de un módulo profesional de preimpresión digital 

      Fernández Esteve, Ligia Helena (Universitat Politècnica de Catalunya, 2017-06-21)
      Projecte Final de Màster Oficial
      Accés restringit per acord de confidencialitat
    • Design and implementation of a fair credit-based bandwidth sharing scheme for buses 

      Slijepcevic, Mladen; Hernandez, Carles; Abella Ferrer, Jaume; Cazorla, Francisco J. (Institute of Electrical and Electronics Engineers (IEEE), 2017-05-15)
      Comunicació de congrés
      Accés obert
      Fair arbitration in the access to hardware shared resources is fundamental to obtain low worst-case execution time (WCET) estimates in the context of critical real-time systems, for which performance guarantees are essential. ...
    • Dynamic software randomisation: Lessons learnec from an aerospace case study 

      Cros, Fabrice; Kosmidis, Leonidas; Wartel, Franck; Morales, David; Abella Ferrer, Jaume; Broster, Ian; Cazorla, Francisco J. (2017-05-15)
      Comunicació de congrés
      Accés obert
      Timing Validation and Verification (V&V) is an important step in real-time system design, in which a system's timing behaviour is assessed via Worst Case Execution Time (WCET) estimation and scheduling analysis. For WCET ...
    • EPC Enacted: Integration in an Industrial Toolbox and Use against a Railway Application 

      Mezzetti, Enrico; Fernandez, Mikel; Bardizbanyan, Alen; Agirre, Irune; Abella Ferrer, Jaume; Vardanega, Tullio; Cazorla, Francisco J. (Institute of Electrical and Electronics Engineers (IEEE), 2017-06-08)
      Comunicació de congrés
      Accés obert
      Measurement-based timing analysis approaches are increasingly making their way into several industrial domains on account of their good cost-benefit ratio. The trustworthiness of those methods, however, suffers from the ...
    • EPC: Extended Path Coverage for Measurement-Based Probabilistic Timing Analysis 

      Ziccardi, Marco; Mezzetti, Enrico; Vardanega, Tullio; Abella Ferrer, Jaume; Cazorla, Francisco J. (IEEE, 2016-01-18)
      Comunicació de congrés
      Accés obert
      Measurement-based probabilistic timing analysis (MBPTA) computes trustworthy upper bounds to the execution time of software programs. MBPTA has the connotation, typical of measurement-based techniques, that the bounds ...
    • Formal verification of safety properties in timed circuits 

      Peña Basurto, Marco Antonio; Cortadella, Jordi; Kondratyev, Alex; Pastor Llorens, Enric (Institute of Electrical and Electronics Engineers (IEEE), 2000)
      Text en actes de congrés
      Accés obert
      The incorporation of timing makes circuit verification computationally expensive. This paper proposes a new approach for the verification of timed circuits. Rather than calculating the exact timed stare space, a conservative ...
    • Hardware schemes for early register release 

      Monreal Arnal, Teresa; Viñals Yufera, Víctor; González Colás, Antonio María; Valero Cortés, Mateo (Institute of Electrical and Electronics Engineers (IEEE), 2002)
      Text en actes de congrés
      Accés obert
      Register files are becoming one of the critical components of current out-of-order processors in terms of delay and power consumption, since their potential to exploit instruction-level parallelism is quite related to the ...
    • Impact of the mechanical interface on BCG signals obtained from electronic weighing scales 

      Casanella Alonso, Ramón; Gómez Clapers, Joan; Hernández Urrea, Marc; Pallàs-Areny, Ramon (2016)
      Text en actes de congrés
      Accés restringit per política de l'editorial
      This work analyzes the reproducibility of the BCG obtained from different weighing scales. First, the natural frequency of three commercial bathroom scales has been characterized by an impulse excitation test. Second, the ...
    • Improving the Front-end Performance of a Time Randomised Processor for Hard Real-Time Systems 

      Rufart Blasco, Eric (Universitat Politècnica de Catalunya, 2023-10-20)
      Projecte Final de Màster Oficial
      Accés obert
      In Hard Real-time Systems, the execution of tasks must be completed within a certain timeframe, known as deadline. In consequence, when a hard-real time system is designed, it is strictly necessary to assume that its tasks ...
    • Increasing the Reliability of Software Timing Analysis for Cache-Based Processors 

      Milutinovic, Suzana; Mezzetti, Enrico; Abella Ferrer, Jaume; Cazorla, Francisco J. (IEEE, 2019-06-01)
      Article
      Accés obert
      Real-time systems are witnessing a significant increase in critical software's size, complexity, and performance needs, which can only be satisfied with high-performance hardware features. Cache memories, pervasively used ...
    • Lazy transition systems: application to timing optimization of asynchronous circuits 

      Cortadella, Jordi; Kishinevsky, Michael; Kondratyev, Alex; Lavagno, Luciano; Taubin, Alexander; Yakovlev, Alex (Institute of Electrical and Electronics Engineers (IEEE), 1998)
      Text en actes de congrés
      Accés obert
      The paper introduces Lazy Transitions Systems (LzTSs). The notion of laziness explicitly distinguishes between the enabling and the firing of an event in a transition system. LzTSs can be effectively used to model the ...
    • Maximum-Contention Control Unit (MCCU): Resource Access Count and Contention Time Enforcement 

      Cardona, Jordi; Hernandez, Carles; Abella Ferrer, Jaume; Cazorla, Francisco J. (IEEE, 2019-04-16)
      Comunicació de congrés
      Accés obert
      In real-time systems, the techniques to derive bounds to the contention tasks can suffer in multicore build on resource quota monitoring and enforcement. Existing techniques track and bound the number of requests to hardware ...
    • Measurement-based probabilistic timing analysis: Lessons from an integrated-modular avionics case study 

      Wartel, Franck; Kosmidis, Leonidas; Lo, Code; Triquet, Benoit; Quiñones, Eduardo; Abella Ferrer, Jaume; Gogonel, Adriana; Baldovin, Andrea; Mezzetti, Enrico; Cucu Grosjean, Liliana; Vardanega, Tulio; Cazorla Almeida, Francisco Javier (Institute of Electrical and Electronics Engineers (IEEE), 2013)
      Text en actes de congrés
      Accés restringit per política de l'editorial
      Probabilistic Timing Analysis (PTA) in general and its measurement-based variant called MBPTA in particular can mitigate some of the problems that impair current worst-case execution time (WCET) analysis techniques. MBPTA ...