• Fast evaluation methodology for automatic custom hardware prototyping 

      González, Cecilia; Jiménez González, Daniel; Martorell Bofill, Xavier; Álvarez Martínez, Carlos; Gaydadjiev, Georgi (2009-06)
      Text en actes de congrés
      Accés obert
      Hardware customization for scientific applications has shown a big potential for reducing power consumption and increasing performance. In particular, the automatic generation of ISA extensions for General-Purpose Processors ...
    • Metodologí­a para la generación y evaluación automática de hardware específico 

      González, Cecilia; Jiménez González, Daniel; Martorell Bofill, Xavier; Álvarez Martínez, Carlos; Gaydadjiev, Georgi (2009-09)
      Text en actes de congrés
      Accés obert
      En el área de la bioinformática podemos encontrar aplicaciones que suponen un reto para el diseño de nuevas arquitecturas de procesadores en términos de rendimiento, ya que sus características difieren de las de las ...
    • Preliminary work on a mechanism for testing a customized architecture 

      González, Cecilia; Jiménez González, Daniel; Martorell Bofill, Xavier; Álvarez Martínez, Carlos; Gaydadjiev, Georgi (2009-07)
      Text en actes de congrés
      Accés obert
      Hardware customization for scientific applications has shown a big potential for reducing power consumption and increasing performance. In particular, the automatic generation of ISA extensions for General-Purpose Processors ...