Ara es mostren els items 1-13 de 13

    • Adaptive proactive reconfiguration: a technique for process variability and aging aware SRAM cache design 

      Pouyan, Peyman; Amat Bertran, Esteve; Rubio Sola, Jose Antonio (2014)
      Article
      Accés obert
      Nanoscale circuits are subject to a wide range of new limiting phenomena making essential to investigate new design strategies at the circuit and architecture level to improve its performance and reliability. Proactive ...
    • Design and implementation of an adaptive proactive reconfiguration technique in SRAM caches 

      Pouyan, Peyman; Amat Bertran, Esteve; Moll Echeto, Francisco de Borja; Rubio Sola, Jose Antonio (2013)
      Text en actes de congrés
      Accés restringit per política de l'editorial
      Scaling of device dimensions toward nano-scale regime has made it essential to innovate novel design techniques for improving the circuit robustness. This work proposes an implementation of adaptive proactive reconfiguration ...
    • Impact of adaptive proactive reconfiguration technique on Vmin and lifetime of SRAM caches 

      Pouyan, Peyman; Amat Bertran, Esteve; Barajas Ojeda, Enrique; Rubio Sola, Jose Antonio (2014)
      Text en actes de congrés
      Accés obert
      This work presents a test and measurement technique to monitor aging and process variation status of SRAM cells as an aging-aware design technique. We have then verified our technique with an implemented chip. The obtained ...
    • Insights to memristive memory cell from a reliability perspective 

      Pouyan, Peyman; Amat Bertran, Esteve; Rubio Sola, Jose Antonio (Institute of Electrical and Electronics Engineers (IEEE), 2015)
      Text en actes de congrés
      Accés obert
      The scaling roadmap of devices under a more than Moore scenario is resulting in the emergence of new types of devices. Among them, memristors seem to be promising candidates to be suitable for various areas of application ...
    • Memristive crossbar design and test in non-adaptive proactive reconfiguring scheme 

      Pouyan, Peyman; Amat, Esteve; Rubio Sola, Jose Antonio (Institute of Electrical and Electronics Engineers (IEEE), 2015)
      Text en actes de congrés
      Accés restringit per política de l'editorial
      One of the most promising emerging technologies is based on the use of memristive devices. Although capable of implementing certain type of logic circuits, they are being extensively used for memory applications. Beside ...
    • Proactive reconfiguration, a methodology for extending SRAM lifetime 

      Pouyan, Peyman; Amat Bertran, Esteve; Rubio Sola, Jose Antonio (2012)
      Comunicació de congrés
      Accés restringit per política de l'editorial
      The proactive reconfiguration is an emerging technique that enlarges the lifetime of memory systems with embedded SRAM cells. This work introduces a novel version that modifies and enhances the advantages of this technique ...
    • Process variability-aware proactive reconfiguration techniques for mitigating aging effects in nano scale SRAM lifetime 

      Rubio Sola, Jose Antonio; Amat Bertran, Esteve; Pouyan, Peyman (IEEE Press. Institute of Electrical and Electronics Engineers, 2012)
      Comunicació de congrés
      Accés restringit per política de l'editorial
      Process variations and device aging have a significant impact on the reliability and performance of nano scale integrated circuits. Proactive reconfiguration is an emerging technique to extend the lifetime of embedded ...
    • Reliability challenges in design of memristive memories 

      Pouyan, Peyman; Amat, Esteve; Rubio Sola, Jose Antonio (2014)
      Text en actes de congrés
      Accés obert
    • Reliability issues in RRAM ternary memories affected by variability and aging mechanisms 

      Rubio Sola, Jose Antonio; Escudero, Manuel; Pouyan, Peyman (Institute of Electrical and Electronics Engineers (IEEE), 2017)
      Text en actes de congrés
      Accés obert
      Resistive switching Random Access Memories (RRAM) are being considered as a promising alternative for conventional memories mainly due to their high speed, scalability, CMOS compatibility, Non-Volatile behavior (NVM), and ...
    • Reliability-aware memory design using advanced reconfiguration mechanisms 

      Pouyan, Peyman (Universitat Politècnica de Catalunya, 2015-11-16)
      Tesi
      Accés obert
      Fast and Complex Data Memory systems has become a necessity in modern computational units in today's integrated circuits. These memory systems are integrated in form of large embedded memory for data manipulation and ...
    • SRAM lifetime improvement by using adaptive proactive reconfiguration 

      Pouyan, Peyman; Amat Bertran, Esteve; Rubio Sola, Jose Antonio (IEEE Press. Institute of Electrical and Electronics Engineers, 2012)
      Text en actes de congrés
      Accés restringit per política de l'editorial
      Modern generations of CMOS technology nodes are facing critical causes of hardware reliability failures, which were not significant in the past. Such vulnerabilities make it essential to investigate new robust design ...
    • Statistical Lifetime Analysis in Memristive Crossbar 

      Pouyan, Peyman; Amat Bertran, Esteve; Rubio Sola, Jose Antonio (2015)
      Text en actes de congrés
      Accés obert
      Emerging devices for future memory technologies have attracted great attention recently. Memristors are one of the most favorable such devices, due to their high scalability and compatibility with CMOS fabrication process. ...
    • Statistical lifetime analysis of memristive crossbar matrix 

      Pouyan, Peyman; Amat, Esteve; Rubio Sola, Jose Antonio (Institute of Electrical and Electronics Engineers (IEEE), 2015)
      Text en actes de congrés
      Accés obert
      Memristors are considered one of the most favorable emerging device alternatives for future memory technologies. They are attracting great attention recently, due to their high scalability and compatibility with CMOS ...